Systems and Technologies Across the Computing Stack

Eliminating inefficiencies in the modern computing stack and developing new paradigms (in circuits, architectures, systems, compilers, programming languages) for emerging applications.

Prof. Joshua San Miguel


Josh is an Assistant Professor in the Department of Electrical and Computer Engineering at the University of Wisconsin-Madison, with an affiliate appointment in the Department of Computer Sciences. His research spans broadly across topics in computer architecture and systems. His specific interests lie in new paradigms such as approximate, stochastic and intermittent computing for energy-harvesting IoT devices as well as traditional architectures, ranging from microarchitectural topics (e.g., branch prediction, value prediction) to cache hierarchies and networks-on-chip for many-core processors.

Prior to joining the University of Wisconsin-Madison, he received his PhD from the Edward S. Rogers Sr. Department of Electrical and Computer Engineering at the University of Toronto in 2017, under the supervision of Professor Natalie Enright Jerger. He is a recipient of the NSF CAREER Award in 2021. His research has garnered several paper awards and three IEEE Micro Top Picks citations in 2016, 2017 (honorable mention) and 2021 for his contributions to branch prediction, approximate computing and unary (stochastic) computing. In 2012, he received a BASc in Engineering Science with Honours (major in Electrical and Computer Engineering) at the University of Toronto.


2024/01:ACM TACO paper accepted
2023/11:ASPLOS 2024 paper accepted
2023/10:MICRO SRC 2023 posters accepted, congrats Shubha and Zhewen (gold medal)!
2022/09:DISCC 2022 paper accepted
2022/08:ACM TACO paper accepted
2022/03:ISCA 2022 papers accepted
2022/02:ACM TECS paper accepted
2022/01:YArch 2022 paper accepted, congrats Zhewen!
2021/10:HPCA 2022 papers accepted
2021/09:ASP-DAC 2022 paper accepted
2021/06:SC 2021 and ICPP-EMS 2021 papers accepted
2021/05:ISLPED 2021 paper accepted
2021/03:Co-organizing the 3rd Undergrad Architecture Mentoring (uArch) Workshop at ISCA 2021
2021/01:uGEMM selected as an IEEE Micro Top Pick
2020/12:IEEE D&T paper accepted
2020/11:HPCA 2021 paper accepted
2020/10:IEEE TCAD paper accepted
2020/09:ASP-DAC 2021 papers accepted
2020/09:MICRO SRC 2020 posters accepted, congrats Abhishek and Abhijith (bronze medal)!
2020/07:ACM TACO and NOCS 2020 papers accepted
2020/06:IEEE CAL paper accepted
2020/03:ISCA 2020 paper accepted (released our simulator for unary computing: UnarySim)
2020/02:DAC 2020 paper accepted
2020/02:Co-organizing the 2nd Undergrad Architecture Mentoring (uArch) Workshop at MICRO 2020
2019/11:HPCA 2020 paper accepted
2019/09:ASP-DAC 2020 paper accepted
2019/07:MICRO 2019 paper accepted (check out our lightning talk)
2019/05:ISLPED 2019 paper accepted
2019/04:Di and team selected as winners of Foxconn Smart Cities - Smart Futures Competition, congrats!
2019/04:ASPLOS SRC 2019 posters accepted, congrats Di, Giri and Mitali (bronze medal)!
2019/03:Di and team selected as finalists for Qualcomm Innovation Fellowship, congrats!
2019/01:DAC 2019 paper accepted
2019/01:Check out our chapter on Approximate Cache Architectures
2018/11:HPCA 2019 paper accepted (check out our lightning talk)
2018/07:MICRO 2018 paper accepted (check out our lightning talk)

Research


Publications



Carat: Unlocking Value-Level Parallelism for Multiplier-Free GEMMs
Zhewen Pan, Joshua San Miguel and Di Wu
ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), April 2024
[Paper]

CAP'NN: A Class-Aware Framework for Personalized Neural Network Inference
Maedeh Hemmat, Joshua San Miguel and Azadeh Davoodi
ACM Transactions on Embedded Computing Systems (TECS), December 2022
[Paper]

As-Is Approximate Computing
Mitali Soni, Asmita Pal and Joshua San Miguel
ACM Transactions on Architecture and Code Optimization (TACO), November 2022
[Paper]

Characterizing Memory Side Channels in FHE Applications
Asmita Pal, Karthik Swaminathan, Subhankar Pal and Joshua San Miguel
Workshop on Data Integrity and Secure Cloud Computing (DISCC), October 2022
[Paper]

NvMR: Non-Volatile Memory Renaming for Intermittent Computing
Abhishek Bhattacharyya, Abhijith Somashekhar and Joshua San Miguel
ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2022
// Best Paper Award
[Paper]

uBrain: A Unary Brain Computer Interface
Di Wu, Jingjie Li, Zhewen Pan, Younghyun Kim and Joshua San Miguel
ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2022
[Paper]

uSystolic: Byte-Crawling Unary Systolic Array
Di Wu and Joshua San Miguel
IEEE International Symposium on High-Performance Computer Architecture (HPCA), April 2022
[Paper]

Stay in Your Lane: A NoC with Low-Overhead Multi-Packet Bypassing
Hossein Farrokhbakht, Paul Gratz, Tushar Krishna, Joshua San Miguel and Natalie Enright Jerger
IEEE International Symposium on High-Performance Computer Architecture (HPCA), April 2022
[Paper]

Streaming Accuracy: Characterizing Early Termination in Stochastic Computing
Hsuan Hsiao, Joshua San Miguel and Jason Anderson
Asia and South Pacific Design Automation Conference (ASP-DAC), January 2022
[Paper]

In-Stream Correlation-Based Division and Bit-Inserting Square Root in Stochastic Computing
Di Wu, Ruokai Yin and Joshua San Miguel
IEEE Design and Test (D&T), December 2021
[Paper]

SEEC: Stochastic Escape Express Channel
Mayank Parasar, Natalie Enright Jerger, Paul Gratz, Joshua San Miguel and Tushar Krishna
International Conference for High Performance Computing, Networking, Storage, and Analysis (SC), November 2021
// Best Paper Award Nominee
[Paper]

When Dataflows Converge: Reconfigurable and Approximate Computing for Emerging Neural Networks
Di Wu and Joshua San Miguel
IEEE International Conference on Computer Design (ICCD), Invited, October 2021
[Paper]

AirNN: A Featherweight Framework for Dynamic Input-Dependent Approximation of CNNs
Maedeh Hemmat, Joshua San Miguel and Azadeh Davoodi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), October 2021
[Paper]

Ghostwriter: A Cache Coherence Protocol for Error-Tolerant Applications
Henry Kao, Joshua San Miguel and Natalie Enright Jerger
Workshop on Embedded Multicore Systems (ICPP-EMS), August 2021
[Paper]

UNO: Virtualizing and Unifying Nonlinear Operations for Emerging Neural Networks
Di Wu, Jingjie Li, Setareh Behroozi, Younghyun Kim and Joshua San Miguel
ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2021
[Paper]

uGEMM: Unary Computing for GEMM Applications
Di Wu, Jingjie Li, Ruokai Yin, Hsuan Hsiao, Younghyun Kim and Joshua San Miguel
IEEE Micro, May-June 2021
[Paper] [UnarySim]

Pitstop: Enabling a Virtual Network Free Network-On-Chip
Hossein Farrokhbakht, Henry Kao, Kamran Hasan, Paul Gratz, Tushar Krishna, Joshua San Miguel and Natalie Enright Jerger
IEEE International Symposium on High-Performance Computer Architecture (HPCA), February-March 2021
[Paper]

Normalized Stability: A Cross-Level Design Metric for Early Termination in Stochastic Computing
Di Wu, Ruokai Yin and Joshua San Miguel
Asia and South Pacific Design Automation Conference (ASP-DAC), January 2021
[Paper]

Zero Correlation Error: A Metric for Finite-Length Bitstream Independence in Stochastic Computing
Hsuan Hsiao, Joshua San Miguel, Yuko Hara-Azumi and Jason Anderson
Asia and South Pacific Design Automation Conference (ASP-DAC), January 2021
[Paper]

SHASTA: Synergic HW-SW Architecture for Spatio-Temporal Approximation
Gokul Subramanian Ravi, Joshua San Miguel and Mikko Lipasti
ACM Transactions on Architecture and Code Optimization (TACO), September 2020
[Paper]

Bufferless NoCs with Scheduled Deflection Routing
Chen Chen, Zirui Tao and Joshua San Miguel
ACM/IEEE International Symposium on Networks-On-Chip (NOCS), September 2020
[Paper]

Value Locality Based Approximation with ODIN
Rahul Singh, Gokul Subramanian Ravi, Mikko Lipasti and Joshua San Miguel
IEEE Computer Architecture Letters (CAL), September-December 2020
[Paper]

CAP'NN: Class-Aware Personalized Neural Network Inference
Maedeh Hemmat, Joshua San Miguel and Azadeh Davoodi
ACM/ESDA/IEEE Design Automation Conference (DAC), July 2020
[Paper]

uGEMM: Unary Computing Architecture for GEMM Applications
Di Wu, Jingjie Li, Ruokai Yin, Hsuan Hsiao, Younghyun Kim and Joshua San Miguel
ACM/IEEE International Symposium on Computer Architecture (ISCA), May-June 2020
// IEEE Micro Top Picks 2021
[Paper] [Presentation] [UnarySim]

DRAIN: Deadlock Removal for Arbitrary Irregular Networks
Mayank Parasar, Hossein Farrokhbakht, Natalie Enright Jerger, Paul Gratz, Tushar Krishna and Joshua San Miguel
IEEE International Symposium on High-Performance Computer Architecture (HPCA), February 2020
[Paper]

CRANIA: Unlocking Data and Value Reuse in Iterative Neural Network Architectures
Maedeh Hemmat, Tejas Shah, Yuhua Chen and Joshua San Miguel
Asia and South Pacific Design Automation Conference (ASP-DAC), January 2020
[Paper]

Approximate Hardware Techniques for Energy-Quality Scaling Across the System
Younghyun Kim, Joshua San Miguel, Setareh Behroozi, Tianen Chen, Kyuin Lee, Yongwoo Lee, Jingjie Li and Di Wu
IEIE/IEEE International Conference on Electronics, Information and Communication (ICEIC), Invited, January 2020
[Paper]

SWAP: Synchronized Weaving of Adjacent Packets for Network Deadlock Resolution
Mayank Parasar, Natalie Enright Jerger, Paul Gratz, Joshua San Miguel and Tushar Krishna
ACM/IEEE International Symposium on Microarchitecture (MICRO), October 2019
[Paper] [Lightning]

SECO: A Scalable Accuracy Approximate Exponential Function Via Cross-Layer Optimization
Di Wu, Tianen Chen, Chienfu Chen, Oghenefego Ahia, Joshua San Miguel, Mikko Lipasti and Younghyun Kim
ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2019
[Paper] [Poster]

In-Stream Stochastic Division and Square Root via Correlation
Di Wu and Joshua San Miguel
ACM/ESDA/IEEE Design Automation Conference (DAC), June 2019
[Paper]

The What's Next Intermittent Computing Architecture
Karthik Ganesan, Joshua San Miguel and Natalie Enright Jerger
IEEE International Symposium on High-Performance Computer Architecture (HPCA), February 2019
[Paper] [Lightning]

Approximate Cache Architectures
Natalie Enright Jerger and Joshua San Miguel
Chapter in Approximate Circuits, Springer, 2019
[Chapter]

The EH Model: Early Design Space Exploration of Intermittent Processor Architectures
Joshua San Miguel, Karthik Ganesan, Mario Badr, Chunqiu Xia, Rose Li, Hsuan Hsiao and Natalie Enright Jerger
ACM/IEEE International Symposium on Microarchitecture (MICRO), October 2018
[Paper] [Lightning]

The EH Model: Analytical Exploration of Energy-Harvesting Architectures
Joshua San Miguel, Karthik Ganesan, Mario Badr and Natalie Enright Jerger
IEEE Computer Architecture Letters (CAL), January-June 2018
[Paper]

A Taxonomy of General Purpose Approximate Computing Techniques
Thierry Moreau, Joshua San Miguel, Mark Wyse, James Bornholt, Armin Alaghi, Luis Ceze, Natalie Enright Jerger and Adrian Sampson
IEEE Embedded Systems Letters (ESL), March 2018
[Paper]

The What's Next Computing Architecture
Karthik Ganesan, Joshua San Miguel and Natalie Enright Jerger
Workshop on Approximate Computing Across the Stack (WAX), March 2018
[Paper]

Reading Between the Bits: Uncovering New Insights in Data for Efficient Processor Design
Joshua San Miguel
PhD Dissertation, University of Toronto, November 2017
// Nominated by ECE Department for ACM Doctoral Dissertation Award
// Nominated by ECE Department for CGS/ProQuest Distinguished Dissertation Award
// Nominated by ECE Department for Governor General's Gold Medal
[Paper]

The Bunker Cache for Spatio-Value Approximation
Joshua San Miguel, Jorge Albericio, Natalie Enright Jerger and Aamer Jaleel
ACM/IEEE International Symposium on Microarchitecture (MICRO), October 2016
[Paper] [Presentation] [Lightning] [Poster]

The Anytime Automaton
Joshua San Miguel and Natalie Enright Jerger
ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2016
// IEEE Micro Top Picks 2017 Honorable Mention
[Paper] [Presentation] [Lightning]

Practical Multidimensional Branch Prediction
Andre Seznec, Joshua San Miguel and Jorge Albericio
IEEE Micro, May-June 2016
[Paper]

A Systolic Approach to Deriving Anytime Algorithms for Approximate Computing
Joshua San Miguel, Vijayalakshmi Srinivasan, Ravi Nair and Daniel A. Prener
IBM Research Report RC25600, April 2016
[Paper]

A Taxonomy of Approximate Computing Techniques
Thierry Moreau, Joshua San Miguel, Mark Wyse, James Bornholt, Luis Ceze, Natalie Enright Jerger and Adrian Sampson
UW CSE Technical Report UW-CSE-2016-03-01, March 2016
[Paper]

The Runahead Network-On-Chip
Zimo Li, Joshua San Miguel and Natalie Enright Jerger
IEEE International Symposium on High-Performance Computer Architecture (HPCA), March 2016
[Paper]

Doppelgänger: A Cache for Approximate Computing
Joshua San Miguel, Jorge Albericio, Andreas Moshovos and Natalie Enright Jerger
ACM/IEEE International Symposium on Microarchitecture (MICRO), December 2015
[Paper] [Presentation] [Lightning] [Poster]

The Inner Most Loop Iteration Counter: A New Dimension in Branch History
Andre Seznec, Joshua San Miguel and Jorge Albericio
ACM/IEEE International Symposium on Microarchitecture (MICRO), December 2015
// IEEE Micro Top Picks 2016
// HiPEAC Paper Award
[Paper]

Data Criticality in Network-On-Chip Design
Joshua San Miguel and Natalie Enright Jerger
ACM/IEEE International Symposium on Networks-On-Chip (NOCS), September 2015
// Best Paper Award Nominee
[Paper] [Presentation]

Texture Cache Approximation on GPUs
Mark Sutherland, Joshua San Miguel and Natalie Enright Jerger
Workshop on Approximate Computing Across the Stack (WAX), June 2015
[Paper]

Load Value Approximation
Joshua San Miguel, Mario Badr and Natalie Enright Jerger
ACM/IEEE International Symposium on Microarchitecture (MICRO), December 2014
[Paper] [Presentation] [Lightning] [Poster]

Wormhole: Wisely Predicting Multidimensional Branches
Jorge Albericio, Joshua San Miguel, Natalie Enright Jerger and Andreas Moshovos
ACM/IEEE International Symposium on Microarchitecture (MICRO), December 2014
[Paper] [Poster]

Wormhole Branch Prediction using Multi-Dimensional Histories
Jorge Albericio, Joshua San Miguel, Natalie Enright Jerger and Andreas Moshovos
Championship Branch Prediction (CBP-4), June 2014
[Paper]

Load Value Approximation: Approaching the Ideal Memory Access Latency
Joshua San Miguel and Natalie Enright Jerger
Workshop on Approximate Computing Across the System Stack (WACAS), March 2014
[Paper] [Presentation]

Teaching


Current Students


Abhishek Bhattacharyya

PhD in ECE


Asmita Pal

PhD in ECE


Zhewen Pan

PhD in ECE


Brathindara Suresh

MS in ECE


Harshitha Naravaram

MS in ECE


Shubha Balgi

MS in ECE


Sri Harsha Bandaru

MS in ECE


Ashwin Avula

BS in ECE


Paridhi Gupta

BS in ECE





Prospective Students


If you're interested in joining our research group, send Josh an email with your CV.

Contact


Email

jsanmiguel [at] wisc [dot] edu

Office

Department of Electrical and Computer Engineering
University of Wisconsin-Madison
1415 Engineering Drive (Engineering Hall), Room 3627
Madison, WI 53706